Follow
Eddie Hung
Eddie Hung
Verified email at ece.ubc.ca - Homepage
Title
Cited by
Cited by
Year
Yosys+nextpnr: an Open Source Framework from Verilog to Bitstream for Commercial FPGAs
D Shah, E Hung, C Wolf, S Bazanski, D Gisselquist, M Milanović
arXiv preprint arXiv:1903.10407, 2019
982019
Escaping the Academic Sandbox: Realizing VPR Circuits on Xilinx Devices
E Hung, F Eslami, SJE Wilton
Field-Programmable Custom Computing Machines (FCCM), 2013 IEEE 21st Annual …, 2013
662013
Accelerating SpMV on FPGAs by compressing nonzero values
P Grigoras, P Burovskiy, E Hung, W Luk
2015 IEEE 23rd Annual International Symposium on Field-Programmable Custom …, 2015
592015
A Detailed Delay Path Model for FPGAs
E Hung, S Wilton, H Yu, T Chau, PHW Leong
Field-Programmable Technology, 2009. FPT 2009. International Conference on …, 2009
562009
Towards Simulator-like Observability for FPGAs: A Virtual Overlay Network for Trace-Buffers
E Hung, SJE Wilton
Proceedings of the ACM/SIGDA International Symposium on Field-Programmable …, 2013
522013
Scalable Signal Selection for Post-Silicon Debug
E Hung, SJE Wilton
Very Large Scale Integration (VLSI) Systems, IEEE Transactions on 21 (6 …, 2013
452013
Incremental Trace-Buffer Insertion for FPGA Debug
E Hung, SJE Wilton
Very Large Scale Integration (VLSI) Systems, IEEE Transactions on 22 (4 …, 2014
432014
A Configurable and Programmable Motion Estimation Processor for the H.264 Video Codec
JL Nunez-Yanez, E Hung, V Chouliaras
Field Programmable Logic and Applications, 2008. FPL 2008. International …, 2008
292008
Speculative Debug Insertion for FPGAs
E Hung, SJE Wilton
Field Programmable Logic and Applications (FPL), 2011 International …, 2011
282011
Mind the (synthesis) gap: Examining where academic FPGA tools lag behind industry
E Hung
2015 25th International Conference on Field Programmable Logic and …, 2015
262015
Transparent insertion of latency-oblivious logic onto FPGAs
E Hung, T Todman, W Luk
2014 24th international conference on field programmable logic and …, 2014
242014
Cogeneration of Fast Motion Estimation Processors and Algorithms for Advanced Video Coding
JL Nunez-Yanez, A Nabina, E Hung, G Vafiadis
Very Large Scale Integration (VLSI) Systems, IEEE Transactions on 20 (3), 437, 2012
242012
Limitations of Incremental Signal-Tracing For FPGA Debug
E Hung, SJE Wilton
Field Programmable Logic and Applications (FPL), 2012 22nd International …, 2012
222012
Enabling effective FPGA debug using overlays: Opportunities and challenges
F Eslami, E Hung, SJE Wilton
arXiv preprint arXiv:1606.06457, 2016
212016
On Evaluating Signal Selection Algorithms for Post-Silicon Debug
E Hung, SJE Wilton
Quality Electronic Design (ISQED), 2011 12th International Symposium on, 1-7, 2011
212011
KAPow: A system identification approach to online per-module power estimation in FPGA designs
E Hung, JJ Davis, JM Levine, EA Stott, PYK Cheung, GA Constantinides
2016 IEEE 24th Annual International Symposium on Field-Programmable Custom …, 2016
162016
Maximum flow algorithms for maximum observability during FPGA debug
E Hung, AS Jamal, SJE Wilton
2013 International Conference on Field-Programmable Technology (FPT), 20-27, 2013
142013
KAPow: High-accuracy, low-overhead online per-module power estimation for FPGA designs
JJ Davis, E Hung, JM Levine, EA Stott, PYK Cheung, GA Constantinides
ACM Transactions on Reconfigurable Technology and Systems (TRETS) 11 (1), 1-22, 2018
132018
Accelerating FPGA debug: Increasing visibility using a runtime reconfigurable observation and triggering network
E Hung, SJE Wilton
ACM Transactions on Design Automation of Electronic Systems (TODAES) 19 (2 …, 2014
132014
Faster FPGA debug: Efficiently coupling trace instruments with user circuitry
E Hung, JB Goeders, SJE Wilton
Reconfigurable Computing: Architectures, Tools, and Applications: 10th …, 2014
112014
The system can't perform the operation now. Try again later.
Articles 1–20