Follow
Ganesh Gore
Title
Cited by
Cited by
Year
Optimizing power consumption in iot based wireless sensor networks using Bluetooth Low Energy
K Nair, J Kulkarni, M Warde, Z Dave, V Rawalgaonkar, G Gore, J Joshi
2015 International Conference on Green Computing and Internet of Things …, 2015
1382015
A predictive process design kit for three-independent-gate field-effect transistors
G Gore, P Cadareanu, E Giacomin, PE Gaillardon
2019 IFIP/IEEE 27th International Conference on Very Large Scale Integration …, 2019
302019
Exploring eFPGA-based redaction for IP protection
J Bhandari, AKT Moosa, B Tan, C Pilato, G Gore, X Tang, S Temple, ...
2021 IEEE/ACM International Conference On Computer Aided Design (ICCAD), 1-9, 2021
292021
Implementation and design issues for using Bluetooth low energy in passive keyless entry systems
R Karani, S Dhote, N Khanduri, A Srinivasan, R Sawant, G Gore, J Joshi
2016 IEEE Annual India Conference (INDICON), 1-6, 2016
222016
Not all fabrics are created equal: Exploring eFPGA parameters for IP redaction
J Bhandari, AKT Moosa, B Tan, C Pilato, G Gore, X Tang, S Temple, ...
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 2023
172023
Implementing a cloud based xilinx ise fpga design platform for integrated remote labs
J Doshi, P Patil, Z Dave, G Gore, J Joshi, R Sonkusare, S Rathod
2015 International Conference on Advances in Computing, Communications and …, 2015
152015
A RRAM-based FPGA for Energy-efficient Edge Computing
X Tang, E Giacomin, P Cadareanu, G Gore, PE Gaillardon
2020 Design, Automation & Test in Europe Conference & Exhibition (DATE), 144 …, 2020
112020
A scalable and robust hierarchical floorplanning to enable 24-hour prototyping for 100k-LUT FPGAs
G Gore, X Tang, PE Gaillardon
Proceedings of the 2021 International Symposium on Physical Design, 135-142, 2021
102021
Implementation and design issues for augmented reality applications on mobile platforms
S Gadre, V Rawalgaonkar, M Warde, D Balasubramanian, G Gore, ...
2018 International Conference on Advances in Computing, Communications and …, 2018
62018
Enhanced look-up table approach for modeling of floating body SOI MOSFET
S Roymohapatra, GR Gore, A Yadav, MB Patil, KS Rengrajan, ...
2017 IEEE International Symposium on Nanoelectronic and Information Systems …, 2017
42017
Using On-chip cryptographic units for security in wireless sensor networks
J Kulkarni, K Nair, A Pappu, S Gadre, G Gore, J Joshi
4*
Taping out an FPGA in 24 hours with OpenFPGA: The SOFA Project
X Tang, G Gore, G Brown, PE Gaillardon
2021 31st International Conference on Field-Programmable Logic and …, 2021
32021
A novel hierarchical circuit LUT model for SOI technology for rapid prototyping
S Roymohapatra, GR Gore, A Yadav, MB Patil, KS Rengarajan, SS Iyer, ...
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2019
22019
Implementing an efficient lightweight modbus protocol over a wireless sensor network
S Mhapankar, G Vanjare, A Pappu, S Gadre, A Sheth, G Gore, J Joshi
2017 International Conference on Advances in Computing, Communications and …, 2017
22017
OpenFPGA: Towards Automated Prototyping for Versatile FPGAs
X Tang, G Gore, E Giacomin, A Alacchi, B Chauviere, PE Gaillardon
Workshop on Open-Source EDA Technology, 2020
12020
A predictive process design kit for three-independent-gate field-effect transistors
P Cadareanu, G Gore, E Giacomin, PE Gaillardon
VLSI-SoC: New Technology Enabler: 27th IFIP WG 10.5/IEEE International …, 2020
12020
Architectural Exploration of Heterogeneous FPGAs for Performance Enhancement of ML Benchmarks
A Mishra, N Rao, G Gore, X Tang
2023 IEEE Asia Pacific Conference on Circuits and Systems (APCCAS), 232-235, 2023
2023
Hierarchical floor-planning for rapid fpga prototyping
G Gore, X Tang, P Gaillardon
US Patent App. 17/695,093, 2023
2023
Performance Optimized Clock Tree Embedding for Auto-Generated FPGAs
G Brown, G Gore, PE Gaillardon
2023 IEEE Computer Society Annual Symposium on VLSI (ISVLSI), 1-6, 2023
2023
A Scalable and Area-Efficient Configuration Circuitry for Semi-Custom FPGA Design
G Gore, X Tang, PE Gaillardon
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 2023
2023
The system can't perform the operation now. Try again later.
Articles 1–20