Follow
Hailong JIAO
Hailong JIAO
Verified email at pku.edu.cn - Homepage
Title
Cited by
Cited by
Year
Memristor based computation-in-memory architecture for data-intensive applications
S Hamdioui, L Xie, HA Du Nguyen, M Taouil, K Bertels, H Corporaal, ...
2015 Design, Automation & Test in Europe Conference & Exhibition (DATE …, 2015
2302015
Ground-bouncing-noise-aware combinational MTCMOS circuits
H Jiao, V Kursun
IEEE Transactions on Circuits and Systems I: Regular Papers 57 (8), 2053-2065, 2010
702010
A novel 6T SRAM cell with asymmetrically gate underlap engineered FinFETs for enhanced read data stability and write ability
SM Salahuddin, H Jiao, V Kursun
International symposium on quality electronic design (ISQED), 353-358, 2013
582013
IEEE Std P1838: DfT standard-under-development for 2.5 D-, 3D-, and 5.5 D-SICs
EJ Marinissen, T McLaurin, H Jiao
2016 21th IEEE european test symposium (ETS), 1-10, 2016
492016
Ground bouncing noise suppression techniques for data preserving sequential MTCMOS circuits
H Jiao, V Kursun
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 19 (5), 763-773, 2011
472011
Low power and robust memory circuits with asymmetrical ground gating
H Jiao, Y Qiu, V Kursun
microelectronics journal 48, 109-119, 2016
372016
Threshold voltage tuning for faster activation with lower noise in tri-mode MTCMOS circuits
H Jiao, V Kursun
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 20 (4), 741-745, 2011
332011
A novel robust and low-leakage SRAM cell with nine carbon nanotube transistors
Y Sun, H Jiao, V Kursun
IEEE Transactions on very large scale integration (VLSI) Systems 23 (9 …, 2014
302014
Ground bouncing noise suppression techniques for MTCMOS circuits
H Jiao, V Kursun
2009 1st Asia Symposium on Quality Electronic Design, 64-70, 2009
302009
Reactivation noise suppression with sleep signal slew rate modulation in MTCMOS circuits
H Jiao, V Kursun
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 21 (3), 533-545, 2013
292013
Low-leakage and compact registers with easy-sleep mode
H Jiao, V Kursun
Journal of Low Power Electronics 6 (2), 263-279, 2010
232010
Tri-mode operation for noise reduction and data preservation in low-leakage multi-threshold CMOS circuits
H Jiao, V Kursun
VLSI-SoC: Forward-Looking Trends in IC and Systems Design: 18th IFIP WG 10.5 …, 2012
212012
Asymmetrical ground gating for low leakage and data robust sleep mode in memory banks
H Jiao, V Kursun
Proceedings of 2011 International Symposium on VLSI Design, Automation and …, 2011
212011
Power gated SRAM circuits with data retention capability and high immunity to noise: a comparison for reliability in low leakage sleep mode
H Jiao, V Kursun
2010 International SoC Design Conference, 5-8, 2010
212010
A 3.36-GHz locking-tuned type-I sampling PLL with− 78.6-dBc reference spur merging single-path reference-feedthrough-suppression and narrow-pulse-shielding techniques
Y Huang, Y Chen, H Jiao, PI Mak, RP Martins
IEEE Transactions on Circuits and Systems II: Express Briefs 68 (9), 3093-3097, 2021
182021
Reconfigurable support vector machine classifier with approximate computing
M Van Leussen, J Huisken, L Wang, H Jiao, JP De Gyvez
2017 IEEE Computer Society Annual Symposium on VLSI (ISVLSI), 13-18, 2017
172017
Noise-aware data preserving sequential MTCMOS circuits with dynamic forward body bias
H Jiao, V Kursun
Journal of Circuits, Systems, and Computers 20 (01), 125-145, 2011
172011
Variations-tolerant 9T SRAM circuit with robust and low leakage SLEEP mode
H Jiao, Y Qiu, V Kursun
2016 IEEE 22nd International Symposium on On-Line Testing and Robust System …, 2016
152016
Multi-bit pulsed-latch based low power synchronous circuit design
K Singh, OAR Rosas, H Jiao, J Huisken, JP De Gyvez
2018 IEEE International Symposium on Circuits and Systems (ISCAS), 1-5, 2018
142018
A pixel circuit with wide data voltage range for OLEDos microdisplays with high uniformity
X Huo, C Liao, M Zhang, H Jiao, S Zhang
IEEE Transactions on Electron Devices 66 (11), 4798-4804, 2019
122019
The system can't perform the operation now. Try again later.
Articles 1–20