Follow
Anup Agarwal
Title
Cited by
Cited by
Year
ABC: A Simple Explicit Congestion Controller for Wireless Networks
P Goyal, A Agarwal, R Netravali, M Alizadeh, H Balakrishnan
17th {USENIX} Symposium on Networked Systems Design and Implementation …, 2020
602020
MPFSLP: Masqueraded probabilistic flooding for source-location privacy in VANETs
PK Singh, A Agarwal, G Nakum, DB Rawat, S Nandi
IEEE Transactions on Vehicular Technology 69 (10), 11383-11393, 2020
252020
HeteroSketch: Coordinating Network-wide Monitoring in Heterogeneous and Dynamic Networks
A Agarwal, Z Liu, S Seshan
19th USENIX Symposium on Networked Systems Design and Implementation (NSDI …, 2022
212022
Redesigning data centers for renewable energy
A Agarwal, J Sun, S Noghabi, S Iyengar, A Badam, R Chandra, S Seshan, ...
Proceedings of the 20th ACM Workshop on Hot Topics in Networks, 45-52, 2021
172021
Opportunistic Sensing with MIC Arrays on Smart Speakers for Distal Interaction and Exercise Tracking
A Agarwal, M Jain, P Kumar, S Patel
IEEE International Conference on Acoustics, Speech and Signal Processing, 2018
162018
Automating network heuristic design and analysis
A Agarwal, V Arun, D Ray, R Martins, S Seshan
Proceedings of the 21st ACM Workshop on Hot Topics in Networks, 8-16, 2022
42022
Sketchy With a Chance of Adoption: Can Sketch-Based Telemetry Be Ready for Prime Time?
Z Liu, H Namkung, A Agarwal, A Manousis, P Steenkiste, S Seshan, ...
1st International Workshop on Theory and Practice of Programmable Forwarding …, 2020
32020
Unlocking unallocated cloud capacity for long, uninterruptible workloads
A Agarwal, S Noghabi, Í Goiri, S Seshan, A Badam
20th USENIX Symposium on Networked Systems Design and Implementation (NSDI 23), 2023
22023
StaRRNIC: Enabling Runtime Reconfigurable FPGA NICs
A Agarwal, D Kim, S Seshan
2023
Towards provably performant congestion control
A Agarwal, V Arun, D Ray, R Martins, S Seshan
The system can't perform the operation now. Try again later.
Articles 1–10