Follow
Mehdi Kamal
Title
Cited by
Cited by
Year
Dual-quality 4: 2 compressors for utilizing in dynamic accuracy configurable multipliers
O Akbari, M Kamal, A Afzali-Kusha, M Pedram
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 25 (4 …, 2017
2362017
RoBA multiplier: A rounding-based approximate multiplier for high-speed yet energy-efficient digital signal processing
R Zendegani, M Kamal, M Bahadori, A Afzali-Kusha, M Pedram
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 25 (2), 393-401, 2016
1962016
RAP-CLA: A reconfigurable approximate carry look-ahead adder
O Akbari, M Kamal, A Afzali-Kusha, M Pedram
IEEE Transactions on Circuits and Systems II: Express Briefs 65 (8), 1089-1093, 2016
1652016
TOSAM: An energy-efficient truncation-and rounding-based scalable approximate multiplier
S Vahdat, M Kamal, A Afzali-Kusha, M Pedram
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 27 (5 …, 2019
1242019
Approximate reverse carry propagate adder for energy-efficient DSP applications
M Pashaeifar, M Kamal, A Afzali-Kusha, M Pedram
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 26 (11 …, 2018
802018
Block-based carry speculative approximate adder for energy-efficient applications
F Ebrahimi-Azandaryani, O Akbari, M Kamal, A Afzali-Kusha, M Pedram
IEEE Transactions on Circuits and Systems II: Express Briefs 67 (1), 137-141, 2019
702019
High-speed and energy-efficient carry skip adder operating under a wide range of supply voltage levels
M Bahadori, M Kamal, A Afzali-Kusha, M Pedram
IEEE Transactions on very large scale integration (VLSI) systems 24 (2), 421-433, 2015
652015
SEERAD: A high speed yet energy-efficient rounding-based approximate divider
R Zendegani, M Kamal, A Fayyazi, A Afzali-Kusha, S Safari, M Pedram
2016 Design, Automation & Test in Europe Conference & Exhibition (DATE …, 2016
642016
Res-DNN: A residue number system-based DNN accelerator unit
N Samimi, M Kamal, A Afzali-Kusha, M Pedram
IEEE Transactions on Circuits and Systems I: regular papers 67 (2), 658-671, 2019
632019
TruncApp: A truncation-based approximate divider for energy efficient DSP applications
S Vahdat, M Kamal, A Afzali-Kusha, M Pedram, Z Navabi
Design, Automation & Test in Europe Conference & Exhibition (DATE), 2017 …, 2017
592017
PX-CGRA: Polymorphic approximate coarse-grained reconfigurable architecture
O Akbari, M Kamal, A Afzali-Kusha, M Pedram, M Shafique
2018 Design, Automation & Test in Europe Conference & Exhibition (DATE), 413-418, 2018
492018
LETAM: A low energy truncation-based approximate multiplier
S Vahdat, M Kamal, A Afzali-Kusha, M Pedram
Computers & Electrical Engineering 63, 1-17, 2017
492017
X-CGRA: An energy-efficient approximate coarse-grained reconfigurable architecture
O Akbari, M Kamal, A Afzali-Kusha, M Pedram, M Shafique
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2019
482019
Polar: A pipelined/overlapped fpga-based lstm accelerator
E Bank-Tavakoli, SA Ghasemzadeh, M Kamal, A Afzali-Kusha, M Pedram
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 28 (3), 838-842, 2019
472019
An ultra low-power memristive neuromorphic circuit for Internet of Things smart sensors
A Fayyazi, M Ansari, M Kamal, A Afzali-Kusha, M Pedram
IEEE Internet of Things Journal 5 (2), 1011-1022, 2018
462018
A theoretical framework for quality estimation and optimization of DSP applications using low-power approximate adders
M Pashaeifar, M Kamal, A Afzali-Kusha, M Pedram
IEEE Transactions on Circuits and Systems I: Regular Papers 66 (1), 327-340, 2018
422018
Thespot: Thermal stress-aware power and temperature management for multiprocessor systems-on-chip
A Iranfar, M Kamal, A Afzali-Kusha, M Pedram, D Atienza
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2017
392017
A heuristic machine learning-based algorithm for power and thermal management of heterogeneous MPSoCs
A Iranfar, SN Shahsavani, M Kamal, A Afzali-Kusha
2015 IEEE/ACM International Symposium on Low Power Electronics and Design …, 2015
302015
HW/SW partitioning using discrete particle swarm
A Farmahini-Farahani, M Kamal, SM Fakhraie, S Safari
Proceedings of the 17th ACM Great Lakes symposium on VLSI, 359-364, 2007
292007
SOPC-based parallel genetic algorithm
MS Jelodar, M Kamal, SM Fakhraie, MN Ahmadabadi
2006 IEEE International Conference on Evolutionary Computation, 2800-2806, 2006
292006
The system can't perform the operation now. Try again later.
Articles 1–20