Follow
Huaipan Jiang
Huaipan Jiang
Pennsylvania State University, Google
Verified email at google.com
Title
Cited by
Cited by
Year
Controlled kernel launch for dynamic parallelism in GPUs
X Tang, A Pattnaik, H Jiang, O Kayiran, A Jog, S Pai, M Ibrahim, ...
2017 IEEE International Symposium on High Performance Computer Architecture …, 2017
602017
BitMapper: an efficient all-mapper based on bit-vector computing
H Cheng, H Jiang, J Yang, Y Xu, Y Shang
BMC bioinformatics 16, 1-16, 2015
362015
Predicting protein–ligand docking structure with graph neural network
H Jiang, J Wang, W Cong, Y Huang, M Ramezani, A Sarma, ...
Journal of chemical information and modeling 62 (12), 2923-2932, 2022
302022
Strengthening barrier-coverage of static sensor network with mobile sensor nodes
B Xu, Y Zhu, D Kim, D Li, H Jiang, AO Tokuta
Wireless Networks 22, 1-10, 2016
292016
Gpu-accelerated flexible molecular docking
M Fan, J Wang, H Jiang, Y Feng, M Mahdavi, K Madduri, MT Kandemir, ...
The Journal of Physical Chemistry B 125 (4), 1049-1060, 2021
202021
Guiding conventional protein–ligand docking software with convolutional neural networks
H Jiang, M Fan, J Wang, A Sarma, S Mohanty, NV Dokholyan, M Mahdavi, ...
Journal of chemical information and modeling 60 (10), 4594-4602, 2020
202020
Fortifying barrier-coverage of wireless sensor network with mobile sensor nodes
B Xu, D Kim, D Li, J Lee, H Jiang, AO Tokuta
Wireless Algorithms, Systems, and Applications: 9th International Conference …, 2014
192014
MDACache: Caching for multi-dimensional-access memories
S George, MJ Liao, H Jiang, JB Kotra, MT Kandemir, J Sampson, ...
2018 51st Annual IEEE/ACM International Symposium on Microarchitecture …, 2018
142018
CASH: compiler assisted hardware design for improving DRAM energy efficiency in CNN inference
A Sarma, H Jiang, A Pattnaik, J Kotra, MT Kandemir, CR Das
Proceedings of the International Symposium on Memory Systems, 396-407, 2019
72019
A learning-guided hierarchical approach for biomedical image segmentation
H Jiang, A Sarma, J Ryoo, JB Kotra, M Arunachalam, CR Das, ...
2018 31st IEEE International System-on-Chip Conference (SOCC), 227-232, 2018
62018
Architecture-centric bottleneck analysis for deep neural network applications
J Ryoo, M Fan, X Tang, H Jiang, M Arunachalam, S Naveen, ...
2019 IEEE 26th International Conference on High Performance Computing, Data …, 2019
42019
Structured in space, randomized in time: Leveraging dropout in rnns for efficient training
A Sarma, S Singh, H Jiang, R Zhang, M Kandemir, C Das
Advances in Neural Information Processing Systems 34, 24545-24555, 2021
22021
Exploiting activation based gradient output sparsity to accelerate backpropagation in CNNs
A Sarma, S Singh, H Jiang, A Pattnaik, AK Mishra, V Narayanan, ...
arXiv preprint arXiv:2109.07710, 2021
22021
Morphable convolutional neural network for biomedical image segmentation
H Jiang, A Sarma, M Fan, J Ryoo, M Arunachalam, S Naveen, ...
2021 Design, Automation & Test in Europe Conference & Exhibition (DATE …, 2021
22021
Fluid: a framework for approximate concurrency via controlled dependency relaxation
H Jiang, H Zhang, X Tang, V Govindaraj, J Sampson, MT Kandemir, ...
Proceedings of the 42nd ACM SIGPLAN International Conference on Programming …, 2021
12021
Exploration of Machine Learning Based Acceleration Methodologies
H Jiang
2022
The system can't perform the operation now. Try again later.
Articles 1–16