Follow
Hakbeom Jang
Hakbeom Jang
MangoBoost
Verified email at mangoboost.io - Homepage
Title
Cited by
Cited by
Year
A fully associative, tagless DRAM cache
Y Lee, J Kim, H Jang, H Yang, J Kim, J Jeong, JW Lee
ACM SIGARCH computer architecture news 43 (3S), 211-222, 2015
1302015
Efficient footprint caching for tagless dram caches
H Jang, Y Lee, J Kim, Y Kim, J Kim, J Jeong, JW Lee
2016 IEEE International Symposium on High Performance Computer Architecture …, 2016
552016
{FlashNeuron}:{SSD-Enabled}{Large-Batch} Training of Very Deep Neural Networks
J Bae, J Lee, Y Jin, S Son, S Kim, H Jang, TJ Ham, JW Lee
19th USENIX Conference on File and Storage Technologies (FAST 21), 387-401, 2021
362021
Practical speculative parallelization of variable-length decompression algorithms
H Jang, C Kim, JW Lee
Proceedings of the 14th ACM SIGPLAN/SIGBED conference on Languages …, 2013
242013
Practical erase suspension for modern low-latency {SSDs}
S Kim, J Bae, H Jang, W Jin, J Gong, S Lee, TJ Ham, JW Lee
2019 USENIX Annual Technical Conference (USENIX ATC 19), 813-820, 2019
232019
Charon: Specialized near-memory processing architecture for clearing dead objects in memory
J Jang, J Heo, Y Lee, J Won, S Kim, SJ Jung, H Jang, TJ Ham, JW Lee
Proceedings of the 52nd Annual IEEE/ACM International Symposium on …, 2019
222019
A neural network accelerator for mobile application processors
DY Kim, JM Kim, H Jang, J Jeong, JW Lee
IEEE Transactions on Consumer Electronics 61 (4), 555-563, 2015
172015
Jointly optimizing task granularity and concurrency for in-memory mapreduce frameworks
J Bae, H Jang, W Jin, J Heo, J Jang, JY Hwang, S Cho, JW Lee
2017 IEEE International Conference on Big Data (Big Data), 130-140, 2017
112017
An energy-efficient dram cache architecture for mobile platforms with pcm-based main memory
D Shin, H Jang, K Oh, JW Lee
ACM Transactions on Embedded Computing Systems (TECS) 21 (1), 1-22, 2022
102022
Short-circuit dispatch: Accelerating virtual machine interpreters on embedded processors
C Kim, S Kim, HG Cho, D Kim, J Kim, YH Oh, H Jang, JW Lee
ACM SIGARCH Computer Architecture News 44 (3), 291-303, 2016
92016
Indirect-ToF system optimization for sensing range enhancement with patterned light source and adaptive binning
SS Myeonggyun Kye, Il-pyeong Hwang, Taemin An, Kyu-Min Kyung, Duhyeon Kwak ...
2021 International Image Sensor Workshop (IISW), 2021
42021
Ssdstreamer: Specializing i/o stack for large-scale machine learning
J Bae, H Jang, J Gong, W Jin, S Kim, J Jang, TJ Ham, J Jeong, JW Lee
IEEE Micro 39 (5), 73-81, 2019
42019
Improving JavaScript performance via efficient in-memory bytecode caching
J Heo, S Woo, H Jang, K Yang, JW Lee
2016 IEEE International Conference on Consumer Electronics-Asia (ICCE-Asia), 1-4, 2016
22016
A Systematic Approach to Cross-Execution Object Reuse
S Woo, H Jang, K Yang, JW Lee
ITC-CSCC: International Technical Conference on Circuits Systems, Computers …, 2015
12015
Eager Memory Management for In-Memory Data Analytics
H Jang, J Bae, TJ Ham, JW Lee
IEICE TRANSACTIONS on Information and Systems 102 (3), 632-636, 2019
2019
Energy-efficient heterogeneous memory system for mobile platforms
D Shin, H Jang, JW Lee
IEICE Electronics Express 14 (24), 20171002-20171002, 2017
2017
The system can't perform the operation now. Try again later.
Articles 1–16