Follow
BAIBHAB CHATTERJEE
Title
Cited by
Cited by
Year
RF-PUF: Enhancing IoT Security through Authentication of Wireless Nodes using In-situ Machine Learning
B Chatterjee, D Das, S Maity, S Sen
2019 IoTJ - IEEE Internet of Things Journal, 2019
2472019
EM-Wave Biosensors: A Review of RF, Microwave, mm-Wave and Optical Sensing
P Mehrotra, B Chatterjee, S Sen
2019 - Sensors 19 (5), 1013, 2019
1602019
BioPhysical Modeling, Characterization and Optimization of Electro-Quasistatic Human Body Communication
S Maity, M He, M Nath, D Das, B Chatterjee, S Sen
2018 TBME - IEEE Transactions on Biomedical Engineering, 2018
932018
Enabling Covert Body Area Network using Electro-Quasistatic Human Body Communication
D Das, S Maity, B Chatterjee, S Sen
2019 Sci. Rep. - Scientific Reports (Nature Publisher Group) 9 (1), 4160, 2019
872019
STELLAR: A Generic EM Side-Channel Attack Protection through Ground-Up Root-cause Analysis
D Das, M Nath, B Chatterjee, S Ghosh, S Sen
2019 HOST (Best Student Paper) - IEEE Hardware Oriented Security and Trust, 2019
862019
BodyWire: A 6.3-pJ/b 30-Mb/s− 30-dB SIR-tolerant broadband interference-robust human body communication transceiver using time domain interference rejection
S Maity, B Chatterjee, G Chang, S Sen
2019 JSSC - IEEE Journal of Solid-State Circuits 54 (10), 2892-2906, 2019
622019
Context-Aware Intelligence in Resource-Constrained IoT Nodes: Opportunities and Challenges
B Chatterjee, S Sen, N Cao, A Raychowdhury
2019 D&T - IEEE Design & Test 36 (2), 7-40, 2019
622019
RF-PUF: IoT Security Enhancement through Authentication of Wireless Nodes using In-situ Machine Learning
B Chatterjee, D Das, S Sen
2018 HOST - IEEE International Symposium on Hardware Oriented Security and …, 2018
622018
27.3 EM and Power SCA-Resilient AES-256 in 65nm CMOS Through> 350× Current-Domain Signature Attenuation
D Das, J Danial, A Golder, N Modak, S Maity, B Chatterjee, D Seo, ...
2020 ISSCC - IEEE International Solid-State Circuits Conference-(ISSCC), 424-426, 2020
442020
A sub-nW Wake-up Receiver for Human Body Communication
S Maity, D Yang, B Chatterjee, S Sen
2018 BioCAS - IEEE Biomedical Circuits and Systems Conference (BioCAS), 1-4, 2018
402018
A 6.3 pJ/b 30Mbps-30dB SIR-tolerant Broadband Interference-Robust Human Body Communication Transceiver using Time Domain Signal-Interference Separation
S Maity, B Chatterjee, G Chang, S Sen
2018 CICC - IEEE Custom Integrated Circuits Conference, San Diego, CA, 2018
40*2018
EM and Power SCA-Resilient AES-256 Through> 350× Current-Domain Signature Attenuation and Local Lower Metal Routing
D Das, J Danial, A Golder, N Modak, S Maity, B Chatterjee, DH Seo, ...
2020 JSSC - IEEE Journal of Solid-State Circuits 56 (1), 136-150, 2020
392020
On the safety of human body communication
S Maity, M Nath, G Bhattacharya, B Chatterjee, S Sen
2020 TBME - IEEE Transactions on Biomedical Engineering, 2020
352020
Bio-WiTel: A Low Power Integrated Wireless Telemetry System for Healthcare Applications in 401-406 MHz Band of MedRadio Spectrum
A Srivastava, N Sankar, B Chatterjee, D Das, M Ahmad, R Kukkundoor, ...
2018 JBHI - IEEE Journal of Biomedical and Health Informatics, 2016
292016
Context-Aware Collaborative-Intelligence with Spatio-Temporal In-Sensor-Analytics for Efficient Communication in a Large-Area IoT Testbed
B Chatterjee, DH Seo, S Chakraborty, S Avlani, X Jiang, H Zhang, ...
2020 IoTJ - IEEE Internet of Things Journal, 2020
282020
A 65nm Image Processing SoC Supporting Multiple DNN Models and Real-Time Computation-Communication Trade-Off Via Actor-Critical Neuro-Controller
N Cao, B Chatterjee, M Gong, M Chang, S Sen, A Raychowdhury
2020 VLSI - IEEE Symposium on VLSI Circuits, 1-2, 2020
212020
A Wearable Real-Time CMOS Dosimeter With Integrated Zero-Bias Floating Gate Sensor and an 861-nW 18-Bit Energy-Resolution Scalable Time-Based Radiation to Digital Converter
B Chatterjee, C Mousoulis, DH Seo, A Kumar, S Maity, S Scott, ...
2020 JSSC - IEEE Journal of Solid-State Circuits: https://ieeexplore.ieee …, 2019
212019
A Wearable Real-time CMOS Dosimeter with Integrated Zero-bias Floating-Gate Sensor and an 861nW 18-bit Energy-Resolution Scalable Time-based Radiation to Digital Converter
B Chatterjee, C Mousoulis, S Maity, A Kumar, S Scott, D Valentino, ...
2019 CICC (Best Paper Award) - IEEE Custom Integrated Circuits Conference …, 2019
212019
A 1.15 μW 5.54 mm 3 Implant with a Bidirectional Neural Sensor and Stimulator SoC utilizing Bi-Phasic Quasi-static Brain Communication achieving 6kbps-10Mbps Uplink with …
B Chatterjee, G Kumar, M Nath, S Xiao, N Modak, D Das, J Krishna, S Sen
2021 VLSI - Symposium on VLSI Circuits, 1-2, 2021
202021
Bio-Physical Modeling of Galvanic Human Body Communication in Electro-Quasistatic Regime
N Modak, M Nath, B Chatterjee, S Maity, S Sen
IEEE Transactions on Biomedical Engineering 69 (12), 3717-3727, 2022
192022
The system can't perform the operation now. Try again later.
Articles 1–20