Follow
Hongbo Zhang
Title
Cited by
Cited by
Year
A polynomial time triple patterning algorithm for cell based row-structure layout
H Tian, H Zhang, Q Ma, Z Xiao, MDF Wong
Proceedings of the International Conference on Computer-Aided Design, 57-64, 2012
792012
Triple patterning aware routing and its comparison with double patterning aware routing in 14nm technology
Q Ma, H Zhang, MDF Wong
Proceedings of the 49th Annual Design Automation Conference, 591-596, 2012
692012
Block copolymer directed self-assembly (DSA) aware contact layer optimization for 10 nm 1D standard cell library
Y Du, D Guo, MDF Wong, H Yi, HSP Wong, H Zhang, Q Ma
2013 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 186-193, 2013
642013
Hybrid lithography optimization with e-beam and immersion processes for 16nm 1D gridded design
Y Du, H Zhang, MDF Wong, KY Chao
17th Asia and South Pacific Design Automation Conference, 707-712, 2012
582012
Thermal-driven analog placement considering device matching
PH Lin, H Zhang, MDF Wong, YW Chang
Proceedings of the 46th Annual Design Automation Conference, 593-598, 2009
532009
Self-aligned double patterning decomposition for overlay minimization and hot spot detection
H Zhang, Y Du, MDF Wong, R Topaloglu
Proceedings of the 48th Design Automation Conference, 71-76, 2011
452011
DSA template mask determination and cut redistribution for advanced 1D gridded design
Z Xiao, Y Du, MDF Wong, H Zhang
Photomask Technology 2013 8880, 155-162, 2013
402013
Directed self-assembly (DSA) template pattern verification
Z Xiao, Y Du, H Tian, MDF Wong, H Yi, HSP Wong, H Zhang
Proceedings of the 51st Annual Design Automation Conference, 1-6, 2014
372014
Constrained pattern assignment for standard cell based triple patterning lithography
H Tian, Y Du, H Zhang, Z Xiao, MDF Wong
2013 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 178-185, 2013
332013
Layout small-angle rotation and shift for EUV defect mitigation
H Zhang, Y Du, MDF Wong, Y Deng, P Mangat
Proceedings of the International Conference on Computer-Aided Design, 43-49, 2012
332012
Contact layer decomposition to enable DSA with multi-patterning technique for standard cell based layout
Z Xiao, CX Lin, MDF Wong, H Zhang
2016 21st Asia and South Pacific Design Automation Conference (ASP-DAC), 95-102, 2016
312016
On process-aware 1-D standard cell design
H Zhang, MDF Wong, KY Chao
2010 15th Asia and South Pacific Design Automation Conference (ASP-DAC), 838-842, 2010
302010
A polynomial time exact algorithm for self-aligned double patterning layout decomposition
Z Xiao, Y Du, H Zhang, MDF Wong
Proceedings of the 2012 ACM international symposium on International …, 2012
292012
A polynomial time exact algorithm for overlay-resistant self-aligned double patterning (SADP) layout decomposition
Z Xiao, Y Du, H Zhang, MDF Wong
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2013
282013
Mask cost reduction with circuit performance consideration for self-aligned double patterning
H Zhang, Y Du, MDF Wong, KY Chao
16th Asia and South Pacific Design Automation Conference (ASP-DAC 2011), 787-792, 2011
272011
Triple patterning aware detailed placement with constrained pattern assignment
H Tian, Y Du, H Zhang, Z Xiao, MDF Wong
2014 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 116-123, 2014
242014
Characterization and decomposition of self-aligned quadruple patterning friendly layout
H Zhang, Y Du, MDF Wong, RO Topaloglu
Optical Microlithography XXV 8326, 146-156, 2012
242012
Efficient pattern relocation for EUV blank defect mitigation
H Zhang, Y Du, MDF Wong, RO Topalaglu
17th Asia and South Pacific Design Automation Conference, 719-724, 2012
242012
Effective decomposition algorithm for self-aligned double patterning lithography
H Zhang, Y Du, MDF Wong, R Topaloglu, W Conley
Optical Microlithography XXIV 7973, 176-186, 2011
232011
Edge-based full chip mask topography modeling
Q Yan, H Zhang, E Croffie, L Zhang, Y Fan, P Brooker, Q Ren
US Patent 8,918,743, 2014
212014
The system can't perform the operation now. Try again later.
Articles 1–20