Follow
Gabriel Falcao
Gabriel Falcao
Professor of Electrical and Computer Engineering, FCTUC, University of Coimbra, IT, Portugal
Verified email at deec.uc.pt - Homepage
Title
Cited by
Cited by
Year
Massively LDPC decoding on multicore architectures
G Falcao, L Sousa, V Silva
IEEE Transactions on Parallel and Distributed Systems 22 (2), 309-322, 2010
1392010
A new solution for camera calibration and real-time image distortion correction in medical endoscopy–initial technical evaluation
R Melo, JP Barreto, G Falcao
IEEE Transactions on Biomedical Engineering 59 (3), 634-644, 2011
1282011
GPU computing gems emerald edition
WH Wen-Mei
Elsevier, 2011
122*2011
How GPUs can outperform ASICs for fast LDPC decoding
G Falcão, V Silva, L Sousa
Proceedings of the 23rd international conference on Supercomputing, 390-399, 2009
842009
Massive parallel LDPC decoding on GPU
G Falcao, L Sousa, V Silva
Proceedings of the 13th ACM SIGPLAN Symposium on Principles and practice of …, 2008
742008
Flexible parallel architecture for DVB-S2 LDPC decoders
M Gomes, G Falcão, V Silva, V Ferreira, A Sengo, M Falcão
IEEE GLOBECOM 2007-IEEE Global Telecommunications Conference, 3265-3269, 2007
652007
Portable LDPC decoding on multicores using OpenCL [applications corner]
G Falcao, V Silva, L Sousa, J Andrade
IEEE Signal Processing Magazine 29 (4), 81-109, 2012
642012
Unsupervised intrinsic calibration from a single frame using a" plumb-line" approach
R Melo, M Antunes, JP Barreto, G Falcao, N Gonçalves
Proceedings of the IEEE International Conference on Computer Vision, 537-544, 2013
582013
Deep learning architectures for accurate millimeter wave positioning in 5G
J Gante, G Falcao, L Sousa
Neural Processing Letters 51 (1), 487-514, 2020
552020
Method and apparatus for automatic camera calibration using one or more images of a checkerboard pattern
JP de Almeida Barreto, GFP Fernandes, RJM Teixeira
US Patent 9,438,897, 2016
552016
Stacked autoencoders using low-power accelerated architectures for object recognition in autonomous systems
J Maria, J Amaro, G Falcao, LA Alexandre
Neural Processing Letters 43, 445-458, 2016
532016
Dethroning GPS: Low-power accurate 5G positioning systems using machine learning
J Gante, L Sousa, G Falcao
IEEE Journal on Emerging and Selected Topics in Circuits and Systems 10 (2 …, 2020
482020
GPU-based DVB-S2 LDPC decoder with high throughput and fast error floor detection
G Falcao, J Andrade, V Silva, L Sousa
Electronics Letters 47 (9), 542-543, 2011
392011
pluto: In-dram lookup tables to enable massively parallel general-purpose computation
JD Ferreira, G Falcao, J Gómez-Luna, M Alser, L Orosa, M Sadrosadati, ...
arXiv preprint arXiv:2104.07699, 2021
372021
Parallel LDPC decoding on GPUs using a stream-based computing approach
G Falcão, S Yamagiwa, V Silva, L Sousa
Journal of computer science and technology 24 (5), 913-924, 2009
342009
High coded data rate and multicodeword WiMAX LDPC decoding on Cell/BE
G Falcao, V Silva, L Sousa, J Marinho
Electronics Letters 44 (24), 1415-1417, 2008
332008
A survey on high-throughput non-binary LDPC decoders: ASIC, FPGA, and GPU architectures
O Ferraz, S Subramaniyan, R Chinthala, J Andrade, JR Cavallaro, ...
IEEE Communications Surveys & Tutorials 24 (1), 524-556, 2021
322021
pluto: Enabling massively parallel computation in dram via lookup tables
JD Ferreira, G Falcao, J Gómez-Luna, M Alser, L Orosa, M Sadrosadati, ...
2022 55th IEEE/ACM International Symposium on Microarchitecture (MICRO), 900-919, 2022
292022
Design space exploration of LDPC decoders using high-level synthesis
J Andrade, N George, K Karras, D Novo, F Pratas, L Sousa, P Ienne, ...
IEEE Access 5, 14600-14615, 2017
292017
Shortening design time through multiplatform simulations with a portable OpenCL golden-model: the LDPC decoder case
G Falcao, M Owaida, D Novo, M Purnaprajna, N Bellas, CD Antonopoulos, ...
2012 IEEE 20th International Symposium on Field-Programmable Custom …, 2012
292012
The system can't perform the operation now. Try again later.
Articles 1–20