Follow
Sunghyun Park
Sunghyun Park
Research Scientist, Intel Corporation
Verified email at intel.com
Title
Cited by
Cited by
Year
SCORPIO: A 36-core research chip demonstrating snoopy coherence on a scalable mesh NoC with in-network ordering
BK Daya, CHO Chen, S Subramanian, WC Kwon, S Park, T Krishna, ...
ACM SIGARCH Computer Architecture News 42 (3), 25-36, 2014
1662014
SMART: A single-cycle reconfigurable NoC for SoC applications
CHO Chen, S Park, T Krishna, S Subramanian, AP Chandrakasan, ...
2013 Design, Automation & Test in Europe Conference & Exhibition (DATE), 338-343, 2013
1282013
Approaching the theoretical limits of a mesh NoC with a 16-node chip prototype in 45nm SOI
S Park, T Krishna, CH Chen, B Daya, A Chandrakasan, LS Peh
Proceedings of the 49th Annual Design Automation Conference, 398-405, 2012
1182012
A 95nW ring oscillator-based temperature sensor for RFID tags in 0.13 µm CMOS
S Park, C Min, SH Cho
2009 IEEE International Symposium on Circuits and Systems (ISCAS), 1153-1156, 2009
1022009
40.4 fJ/bit/mm low-swing on-chip signaling with self-resetting logic repeaters embedded within a mesh NoC in 45nm SOI CMOS
S Park, M Qazi, LS Peh, AP Chandrakasan
2013 Design, Automation & Test in Europe Conference & Exhibition (DATE …, 2013
242013
A low-swing crossbar and link generator for low-power networks-on-chip
CHO Chen, S Park, T Krishna, LS Peh
2011 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 779-786, 2011
202011
A 470-5-GHz Digitally Controlled Injection-Locked Multi-Modulus Frequency Divider With an In-Phase Dual-Input Injection Scheme
J Lee, S Park, SH Cho
IEEE transactions on very large scale integration (VLSI) systems 19 (1), 61-70, 2009
152009
Enabling simultaneously bi-directional TSV signaling for energy and area efficient 3D-ICs
S Park, A Wang, U Ko, LS Peh, AP Chandrakasan
2016 Design, Automation & Test in Europe Conference & Exhibition (DATE), 163-168, 2016
62016
Scorpio: 36-core shared memory processor demonstrating snoopy coherence on a mesh interconnect
CHO Chen, S Park, S Subramanian, T Krishna, B Daya, WC Kwon, ...
2014 IEEE Hot Chips 26 Symposium (HCS), 1-20, 2014
32014
Towards low-power yet high-performance networks-on-chip
S Park
Massachusetts Institute of Technology, 2014
2014
Reconfigurable On-chip Network with Single-cycle Multi-hop Asynchronous Repeated Traversal
T Krishna, WC Kwon, S Subramanian, CHO Chen, S Park, ...
Computer, 1, 2013
2013
SCORPIO: 36-Core Shared-Memory Processor Demonstrating Snoopy Coherence on a Mesh Interconnect
BK Daya, CHO Chen, S Subramanian, WC Kwon, S Park, T Krishna, ...
The system can't perform the operation now. Try again later.
Articles 1–12